Утверждение является конструкцией программного обеспечения, где разработчик заявляет ("утверждает") условие, которому он верит, всегда будет верно. Если условие оценивает ко лжи на некоторых языках, исключение выдается в других, сообщение печатается, и в других программа прекращает работать.

Утверждение является конструкцией программного обеспечения, где разработчик заявляет ("утверждает") условие, которому он верит, всегда будет верно. Если условие оценивает ко лжи, на некоторых языках исключение выдается в других, сообщение печатается, и во все еще других программа прекращает работать.

Утверждения могут существовать на большинстве высокоуровневых языков (C, Java, и т.д....), а также на языках межрегистровых пересылок (RTL), таких как Verilog, Система Verilog и VHDL.