Я попытался начать небольшой курс с нескольких примеров из Verilog Hdl и, потратив много времени на поиск лучшего Simulator или IDE [ 1110] Чтобы попрактиковаться с некоторыми примерами, я не смог понять, как использовать его в Ubuntu 12.04
Итак, у меня есть два вопроса:
Как я могу использовать Verilog в Ubuntu 12.04?
Какой лучший симулятор (или IDE) доступен для Linux?
Вы также можете использовать среды IDE для разработки FPGA. Altera Quartus для Altera (Intel) FPGA или Xilinx ISE для устройств Xilinx. Эти среды позволяют писать исходные коды VHDL и Verilog и включают в себя симуляторы.
Вы можете скачать SynpatiCAD Linux Verilog simulator , который совместим с Ubuntu. Он включает в себя симулятор командной строки и графическую среду IDE. После установки вы можете запустить инструмент и запросить бесплатную 6-месячную лицензию на симулятор.
Помогает ли этот пост: Verilog и VHDL в Linux (Ubuntu) ?
Рекомендуется совместное использование Icarus Verilog (iverilog
в репозиториях) для моделирования Verilog, GHDL для моделирования VHDL и GTKWave (gtkwave
в репозиториях) для просмотра формы волны.
Если вам нужен редактор Verilog на основе Eclipse, попробуйте veditor .
Попробуйте эту команду в окне терминала:
sudo apt-get install gplcver
sudo apt-get install iverilog;
sudo apt-get install gtkwave;
Чтобы увидеть, все ли работает правильно, давайте сделаем привет-мир Verilog.
nano hello.v
затем напишите следующий код hello-world
module main;
initial
begin
$display("Hello world");
$finish;
end
endmodule
Затем скомпилируйте
iverilog hello.v -o hello
и, наконец, запустите ваш код
vvp hello